首页 > 资讯 > 正文

台积电引领先进制程发展,供应链厂商积极应对产业要求

2019-09-23 13:41 来源:拓墣产业研究院

当前,先进制程仍是半导体产业趋势的重点之一,尤其在业界龙头台积电对于其先进制程布局与时程更加明确的情况下,增加主要供应链厂商对纳米节点持续微缩的信心,势必也将带来更多元的设备与材料需求;然而,连带对于设备与材料规格提升的需求,也考验供应链厂商在产品竞争力上的表现。

台积电7nm表现持续亮眼,持续增添发展先进制程的信心

台积电在7nm的卓越成果为先进制程后续发展打下稳固基础,也让鳍片式(FinFET)结构晶体管能有更多应用。从台积电目前表现来看,7nm节点在技术与产能上的规划已超过2019年初时对量产产能的预估,除了既有的7nm加强版囊括众多产品线外,7nm EUV产能受惠于客户的加量投片下,预估在2019年第四季能有1.5倍左右成长。

加上在6nm制程方面,由于6nm制程与现行7nm制程共享生产机台与流程,缩短不少开发时间,包括海思、Qualcomm、Broadcom、Apple、AMD及联发科等主要客户对前进6nm制程展现高度兴趣,积极投入测试,相信原订2020年第一季风险试产的6nm规划将如期落实,因此预估整体7nm(包括7nm第一代、7nm第二代加强版、7nm EUV、6nm)产能在2020上半年前将持续扩产20~25%以上。

台积电下一阶段纳米节点微缩计划更加明确,2nm时程有谱

在5nm制程方面,已建构出具可靠性的前段制造流程架构,预计2019年第四季或将进入拉抬良率阶段,凭借丰富的数据库与制程调整经验快速提升新品良率,能大幅缩短产品学习曲线,因此在量产时程上目前仍处于业界领先位置;再继续做纳米节点微缩,3nm开发目前还在「寻找路径(Path-Finding)」阶段,且由于线宽间距极小,在漏电方面的问题难度提升,也催生晶体管结构改变的可能。

目前GAA(Gate-All-Around)是Samsung主要采用在3nm制程架构,藉由闸极全面包覆来增加与硅的接触面积,达到良好的漏电控制;而台积电目前除了GAA技术的研究外,仍有在评估FinFET的最大应用限度,毕竟从7nm与5nm得到的宝贵经验中发现,FinFET确实还有延续的可能性,且由于晶体管结构一脉相成,在性能表现与可靠度上或将比新的结构来得可掌握,相信也能增加客户的采用意愿。

此外,台积电也确认2nm的相关建厂与开发计划,以目前技术的研发时程推断,2nm出现的时间点预估落在4年后,虽然届时势必面临晶体管结构的改变与挑战,但此举也为相关厂商带来纳米节点微缩仍具有获利的可能性与技术必须性,将持续推动半导体产业供应链发展。

先进制程为设备与材料供应商带来新挑战,相关厂商积极推出解决方案

先进制程面临的挑战不仅在制程微缩方面,也同样存在于半导体设备与材料厂商。主要是在微缩过程中,对Particle的容忍度越来越低,由Particle产生缺陷(Defect)的机率提升,不管在清洗晶圆的化学药液或制程用水,亦或是光阻剂、CMP研磨液及蚀刻液或气体等,要求的质量与洁净度越来越高。
 
业界主要的化学品及制程用水过滤滤芯供应美商Entegris与美商Pall,就针对不断微缩的制程持续开发新型过滤滤芯,不仅在滤膜表面的孔洞微缩至1nm程度,也持续研究各种化学吸附或离子交换膜等方式优化过滤效果,对应不同种类的化学药液与制程用水的过滤需求。

另外,在先进制程中扮演重要角色的EUV光刻机供应商ASML,除了现有主要厂商采用的EUV光刻机NXE:3400B外,也预计2019下半年出货下一世代的EUV光刻机-NXE:3400C,具有更高的NA(数值孔径)值提升分辨率,以及更快的Throughput(单位时间晶圆处理量,WPH),能进一步提升EUV在显影表现与晶圆处理效率,是先进制程发展不可或缺的重要设备。

值得一提的是,有鉴于台积电在先进制程方面成为业界领导厂商,除了确保未来先进制程对设备与材料的需求外,也让有意进入先进制程的设备与材料厂商,以台积电的认证为首要目标。

而就台积电规格要求来看,即便供应链厂商技术到位,仍需不断根据制程需求做更新,包括机台改造与高规格材料的导入,才能在先进制程发展下保有各自的产品竞争力。

  • 制造封装
  • 严禁商业机构或公司转载,违者必究;转载请注明来源“中国闪存网”!